Design an asynchronous D-Flip-Flop.
module d_flip_flop (
input wire clk,
input wire rst,
input wire d,
output reg q
);
// TODO
endmodule
xxxxxxxxxx
`default_nettype none
`timescale 1ns/1ns
`define assert(signal, value) \
if (signal !== value) begin \
$display("ASSERTION FAILED at %2d in %m: signal != value", $time); \
$finish; \
end
module tb_d_flip_flop;
reg clk;
reg rst;
reg d;
wire q;
d_flip_flop uut (
Output:
xxxxxxxxxx
At Bitspinner, we're dedicated to unlocking the potential of digital hardware design through Verilog. Whether you're an absolute beginner or a seasoned professional, our mission is to provide you with the resources and guidance you need to master the art of digital design.
Copyright ©2025. All Rights Reserved. — Designed by Bitspinner